Thursday, October 30, 2014

More on basics of shift register

SISO: Data enterd serially into the register of specific size and output taken out serially during next clock cycles

SIPO: Data enterd serially into the register of specific size and output taken out at a time in parallel during next clock cycles

PISO: Data enterd at a time in parallel into the register of specific size and output taken out serially during next clock cycles



PIPO: Data enterd at a time in parallel into the register of specific size and output taken out at once 
during next clock cycles.

For more click video

No comments:

Post a Comment